2016年2月3日水曜日

R2R DACの試作

最近、R2R DACの話題になっています。

気になって、外国のサイトにあった回路(74595によるシリアルパラ変換)を使って試してみました。

16Bitのステレオ基板です。


こちらは、24Bitのモノ版です。


抵抗を選別していないのでノイズがある程度乗りますが、素直な音で気に入りました。
音量が普通のDACの3分の1ぐらいなのが少し残念です。
24Bitの差動出力にして、2枚でステレオが良いかな。
DSDのDSD原理基板に対抗して、PCMのR2RラダーDAC基板もオモシロそうです。